基于CPLD的智能宽带去边沿抖动技术

时间:2012-10-25来源:网络

模拟信号通过比较器,或放大后通过数字门电路,都可实现幅度离散化而成为脉冲数字信号。实际电路中,在阈值VT附近一般有两极限值:有效低电平输入的最大值VA、有效高电平输入的最小值VB,如图3(c)所示。当输入落在之间的模糊区中,尤其是在靠近VT附近时,内部电路就相当于一个增益非常大的开环放大器,后级窜入的微小反馈都会引起振荡而形成多次触发。因此,即使把一个足够幅度足够光滑的低频正弦波形加到门电路的输入端,由于过VT点电压斜升率太小,当通过逻辑模糊带(ΔV=VB-VA)的时间(t2-t1)远大于门电路传播延迟tpd时,其输出必将是前后沿都多次抖动的脉冲波形(图3d)。对于比较器,ΔV一般为几毫伏,而TTL门电路,VB=2.4V,VA=0.8V,所以会更易出现边沿抖动。

数字电路中产生边沿抖动的机理

数字电路中产生边沿抖动主要发生在以下几个方面:(1)开关器件的多次触发;(2)逻辑设计的缺陷;(3)不匹配终端长线效应。

开关器件的多次触发是最常见的,如键盘按键的多次连接,继电器的触头多次接触等等,本来只有一次信号变化却形成了若干次变化。逻辑设计缺陷产生抖动的机理是:逻辑冒险或竞争,逻辑设计不合理造成瞬时毛刺,这种情况也是经常发生,很难完全避免。在无终端匹配的长线上,高频脉冲信号在线上多次往返传播,将在脉冲前后沿形成长长的余振,当余振幅度足够大时,对接收端门电路即成为沿抖动。

边沿抖动产生的危害

对于状态数据信号,边沿抖动的危害一般较小,仅当系统正好在沿抖动时刻采样才会引起数据错误。控制信号、复位信号的边沿抖动常会造成的误操作,引起逻辑混乱,甚至损坏执行机构。而如果时钟信号发生沿抖动,利用该时钟沿工作的锁存器、计数器、定时器等电路的结果将可能完全错误。

常规去抖动方法

针对抖动的产生机理,我们把常规去抖动的方法也归纳为两类:去模拟信号抖动方法和去开关信号抖动方法,分别被用在模拟电路部分设计和数字电路设计中进行去抖动处理。

去模拟信号抖动方法

由于模拟信号的质量经常是引起抖动的源头,因而对模拟信号的处理更受关注。常用的方法主要包括以下几个方面:(1)平滑滤波;(2)施密特触发器;(3)单稳态触发器。

平滑滤波是常用的方法。让信号从检测带的随机快速变化钝化为缓变信号,滤除不相干的频率成分,这样就可以弱化引起抖动的信号分量,在检测带内就可准确检测信号的逻辑电平。电路实现一般是用电阻电容或加运算放大器组成的有源/无源低通、带通或带阻滤波器。

施密特触发器对信号的整形是利用了电平延迟形成触发电平屏蔽区间的原理。当输入信号电平超过门限VB使输出置成高电平后,仅当输入电平下降到比VB更低的门限VA时才能使输出翻转,而之间过程是保持不变。于是,只要信号抖动范围小于高低门限电平差,即可保证不发生抖动。而且,由于上下翻转电平有足够的差值,输出上下沿将会陡直,减小了后续门电路出现沿抖动的可能性。

单稳态触发器对信号的整形是利用了时间延迟形成触发时间屏蔽区间的原理。当信号超过某个电平时,触发器翻转,在内部定时没有完成前不随信号变化而变化,定时时间由外部电路设定,这样也可对信号频率已知情况的信号去除掉快变抖动。

去开关信号抖动方法

去除数字信号引入和处理时出现的抖动常有以下三种途径:(1)RC滤波;(2)软件去抖动方法;(3)优化设计。

RC滤波是消除开关量器件抖动的最常见且有效的一种硬件方法,其实质与模拟处理方法中的单稳态触发器相似。比如,对键盘按键操作时,按键一般都会多次接触,常用的做法是加一个RC滤波电路,这样,只要设置的时常数大于抖动时间,即可有效消除抖动。软件去抖动方法一般是通过多次检测加上延时、比较来实现的。比如,对键盘按键操作时产生的抖动,可以多次检测,在一定的时间内是相同的键值则认为按下了一次,超过了一定的时间则认为有连续按键操作。

对于数字信号处理中(逻辑设计不合理)产生的抖动, 主要靠优化设计来解决。比如器件选择、同步设计、匹配终端等等。器件选择上,利用在频带、速度、精度等各方面相对实际需求有较大裕量的器件,这会使得信号受器件延时等影响造成的抖动大大减小。同步设计可以有效防止信号变化时出现的不必要的中间过程,一般是把异步设计的电路改成同步电路,这样各路信号经过几乎相同的时延,避免了中间过程变化引起的抖动。匹配终端的设计对于频率高端信号很有效。

1 2 3 4

关键词: CPLD 宽带 抖动

加入微信
获取电子行业最新资讯
搜索微信公众号:EEPW

或用微信扫描左侧二维码

相关文章

查看电脑版