基于MAX+ PLUS 的十进制计数器的设计

时间:2012-08-21来源:网络

1. 2 BCD码计数器

本设计采用的BCD码计数电路由74160构成, 74160是十进制同步计数器( 异步清除), 在其功能表中, 当LDN、ENT、ENP、CLRN四个输入端都接高电平时, 对CLK 输入脉冲上升沿进行计数, 由QAQD输出8421码。

1. 3 七段译码器电路

本设计采用的七段译码器电路由7447和外部共阴极数码管构成, 7447七段译码器将BCD8421码译成数码管所需的七段数显码。

综上所述, 所设计的十进制计数器电路如图3所示。

十进制脉冲计数器
图3 十进制脉冲计数器。

2 十进制计数器的仿真

打开PC 机界面MAX + PLUS Ⅱ 软件, 输入图3 的电路;选菜单F ile Pro ject Se t Pro ject to Curren t File, 然后选菜单MAX + p lus com plier编辑当前图形文件; 对图3进行仿真, 仿真结果如图4 所示。由图4 知: 所设计的电路( 图3) 符合十进制计数器的逻辑要求。

仿真结果
图4 仿真结果。

用Byte BlaSTer 下载缆线联结PC 机并口和实验箱J1( JTAG), 打开实验箱电源开关。选择菜单项MAX + PLUS II Programmer, 单击Program 按钮, 即开始下载程序。打开实验箱电源开关, 连续按K52键, 数码管LED1将从0按顺序变到9, 符合十进制计数器的逻辑要求。

3 结束语

MAX+ PLUS Ⅱ 是电子设计领域的一场革命, 它的应用越来越广泛, MAX+ PLUS Ⅱ 在实验教学的应用, 不仅是提高设计效率的需要, 更重要的是培养适应创新、创业人才的需要, 以适应电子工业的竟争与发展。

1 2

关键词: PLUS MAX 十进制 计数器

加入微信
获取电子行业最新资讯
搜索微信公众号:EEPW

或用微信扫描左侧二维码

相关文章

查看电脑版